?
Current Path : /usr/share/emacs/24.3/lisp/progmodes/ |
Linux gator3171.hostgator.com 4.19.286-203.ELK.el7.x86_64 #1 SMP Wed Jun 14 04:33:55 CDT 2023 x86_64 |
Current File : //usr/share/emacs/24.3/lisp/progmodes/verilog-mode.elc |
;ELC ;;; Compiled by mockbuild@buildfarm06-new.corp.cloudlinux.com on Fri Oct 11 10:09:31 2024 ;;; from file /builddir/build/BUILD/emacs-24.3/lisp/progmodes/verilog-mode.el ;;; in Emacs version 24.3.1 ;;; with all optimizations. ;;; This file uses dynamic docstrings, first added in Emacs 19.29. ;;; This file does not contain utf-8 non-ASCII characters, ;;; and so can be loaded in Emacs versions earlier than 23. ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; ;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;; #@31 Version of this Verilog mode. (defconst verilog-mode-version (substring "$$Revision: 820 $$" 12 -3) (#$ . 565)) #@36 Release date of this Verilog mode. (defconst verilog-mode-release-date (substring "$$Date: 2012-09-17 20:43:10 -0400 (Mon, 17 Sep 2012) $$" 8 -3) (#$ . 683)) #@74 If non-nil, this version of Verilog mode was released with Emacs itself. (defconst verilog-mode-release-emacs t (#$ . 847)) #@44 Inform caller of the version of this file. (defalias 'verilog-version #[nil "\301\302\"\207" [verilog-mode-version message "Using verilog-mode version %s"] 3 (#$ . 977) nil]) (byte-code "\300\301\302\"\210\303\304\305\217\210\303\306\307\217\210\303\310\311\217\210\303\312\313\217\207" [defalias verilog-regexp-opt regexp-opt nil (byte-code "\300\301!\207" [require diff] 2) ((error)) (byte-code "\300\301!\207" [require compile] 2) ((error)) (byte-code "\300\301!\204 \302\301\303\304B\"\210\300\207" [fboundp buffer-chars-modified-tick defalias macro #[nil "\300 \207" [buffer-modified-tick] 1]] 4) ((error)) (byte-code "\301\302!\204z \303\304N\204 \305\303\304\306\307!#\210\310\311!\204 \305\311\312\302#\210\313\314 !\210\311\304N\2043 \305\311\304\306\315!#\210\310\316!\204? \305\316\312\302#\210\317\320 !\210\316\304N\204R \305\316\304\306\321!#\210\305\300\312\302#\210\322\323\300\324\"\210!\210\300\304N\204o \305\300\304\306\325!#\210\305\302\326\324#\210\327\302\330\"\210\324\207" [prog-mode-abbrev-table fboundp prog-mode prog-mode-hook variable-documentation put purecopy "Hook run when entering Prog mode.\nNo problems result if this variable is not bound.\n`add-hook' automatically binds it. (This is true for all hook variables.)" boundp prog-mode-map definition-name (lambda (#1=#:def-tmp-var) (defvar prog-mode-map #1#)) make-sparse-keymap "Keymap for `prog-mode'." prog-mode-syntax-table (lambda (#1#) (defvar prog-mode-syntax-table #1#)) make-syntax-table "Syntax table for `prog-mode'." (lambda (#1#) (defvar prog-mode-abbrev-table #1#)) define-abbrev-table nil "Abbrev table for `prog-mode'." derived-mode-parent defalias #[nil "\306\300!\210\307\310 \210\311\312\313!\210\314\f!\210 )\315\316!\207" [delay-mode-hooks major-mode mode-name prog-mode-map prog-mode-syntax-table prog-mode-abbrev-table make-local-variable t kill-all-local-variables prog-mode "Prog" use-local-map set-syntax-table run-mode-hooks prog-mode-hook local-abbrev-table] 2 "Major-mode.\nUses keymap `prog-mode-map', abbrev table `prog-mode-abbrev-table' and syntax-table `prog-mode-syntax-table'.\n\nThis mode runs the hook `prog-mode-hook', as the final step\nduring initialization.\n\n\\{prog-mode-map}" nil]] 5) ((error))] 3) #@57 Call 'regexp-opt' with word delimiters for the words A. (defalias 'verilog-regexp-words #[(a) "\301\302\303\"\304Q\207" [a "\\<" verilog-regexp-opt t "\\>"] 4 (#$ . 3225)]) #@57 Filter `easy-menu-define' MENU to support new features. (defalias 'verilog-easy-menu-filter #[(menu) "\207" [menu] 1 (#$ . 3405)]) #@97 Filter `define-abbrev' TABLE NAME EXPANSION and call HOOK. Provides SYSTEM-FLAG in newer Emacs. (defalias 'verilog-define-abbrev #[(table name expansion &optional hook) "\300\301\302\217\207" [nil (byte-code "\304 \n\305\306&\207" [table name expansion hook define-abbrev 0 t] 7) ((error (define-abbrev table name expansion hook)))] 3 (#$ . 3543)]) #@62 Customize variables and other settings used by Verilog-Mode. (defalias 'verilog-customize #[nil "\300\301!\207" [customize-group verilog-mode] 2 (#$ . 3901) nil]) #@39 Customize fonts used by Verilog-Mode. (defalias 'verilog-font-customize #[nil "\300\301!\205\n \301\302\303\"\207" [fboundp customize-apropos "font-lock-*" faces] 3 (#$ . 4070) nil]) #@169 Return t if VALUE is boolean. This implements GNU Emacs 22.1's `booleanp' function in earlier Emacs. This function may be removed when Emacs 21 is no longer supported. (defalias 'verilog-booleanp #[(value) "\301\232\206 \302\232\207" [value t nil] 2 (#$ . 4260)]) #@34 Insert the `last-command-event'. (defalias 'verilog-insert-last-command-event #[nil "c\207" [last-command-event] 1 (#$ . 4533)]) #@114 True if `after-change-functions' is disabled. Use of `syntax-ppss' may break, as ppss's cache may get corrupted. (defvar verilog-no-change-functions nil (#$ . 4670)) #@47 True when within a `verilog-run-hooks' block. (defvar verilog-in-hooks nil (#$ . 4842)) #@107 Run each hook in HOOKS using `run-hooks'. Set `verilog-in-hooks' during this time, to assist AUTO caches. (defalias 'verilog-run-hooks '(macro . #[(&rest hooks) "\301\302\303BE\207" [hooks let ((verilog-in-hooks t)) run-hooks] 4 (#$ . 4937)])) (defalias 'verilog-syntax-ppss #[(&optional pos) "\203 \203 \303 \210\202 \304 \210\305\306\307 \"\210\310\311!\203! \311\n!\207\312e\n\206( `\"\207" [verilog-no-change-functions verilog-in-hooks pos verilog-scan-cache-flush backtrace error "%s: Internal problem; use of syntax-ppss when cache may be corrupt" verilog-point-text fboundp syntax-ppss parse-partial-sexp] 3]) (byte-code "\300\301\302\303\304\305\306\307&\210\300\310\302\311\306\301%\210\300\312\302\313\306\301%\210\300\314\302\315\306\301%\207" [custom-declare-group verilog-mode nil "Major mode for Verilog source code." :version "22.2" :group languages verilog-mode-indent "Customize indentation and highlighting of Verilog source text." verilog-mode-actions "Customize actions on Verilog source text." verilog-mode-auto "Customize AUTO actions when expanding Verilog source text."] 8) #@67 Non-nil means enable debug messages for `verilog-mode' internals. (defvar verilog-debug nil (#$ . 6048)) #@65 Non-nil means `verilog-warn-error' warnings are fatal `error's. (defvar verilog-warn-fatal nil (#$ . 6159)) (byte-code "\300\301\302\303\304\305\306\307&\210\300\310\311\312\304\305\306\307&\210\300\313\314\315\304\305\306\307&\210\300\316\317\320\304\305\306\307&\210\300\321\322\323\304\305\306\307&\207" [custom-declare-variable verilog-linter "echo 'No verilog-linter set, see \"M-x describe-variable verilog-linter\"'" "Unix program and arguments to call to run a lint checker on Verilog source.\nDepending on the `verilog-set-compile-command', this may be invoked when\nyou type \\[compile]. When the compile completes, \\[next-error] will take\nyou to the next lint error." :type string :group verilog-mode-actions verilog-coverage "echo 'No verilog-coverage set, see \"M-x describe-variable verilog-coverage\"'" "Program and arguments to use to annotate for coverage Verilog source.\nDepending on the `verilog-set-compile-command', this may be invoked when\nyou type \\[compile]. When the compile completes, \\[next-error] will take\nyou to the next lint error." verilog-simulator "echo 'No verilog-simulator set, see \"M-x describe-variable verilog-simulator\"'" "Program and arguments to use to interpret Verilog source.\nDepending on the `verilog-set-compile-command', this may be invoked when\nyou type \\[compile]. When the compile completes, \\[next-error] will take\nyou to the next lint error." verilog-compiler "echo 'No verilog-compiler set, see \"M-x describe-variable verilog-compiler\"'" "Program and arguments to use to compile Verilog source.\nDepending on the `verilog-set-compile-command', this may be invoked when\nyou type \\[compile]. When the compile completes, \\[next-error] will take\nyou to the next lint error." verilog-preprocessor "vppreproc __FLAGS__ __FILE__" "Program and arguments to use to preprocess Verilog source.\nThis is invoked with `verilog-preprocess', and depending on the\n`verilog-set-compile-command', may also be invoked when you type\n\\[compile]. When the compile completes, \\[next-error] will\ntake you to the next lint error."] 8) #@35 History for `verilog-preprocess'. (defvar verilog-preprocess-history nil (#$ . 8265)) #@276 Which tool to use for building compiler-command. Either nil, `verilog-linter, `verilog-compiler, `verilog-coverage, `verilog-preprocessor, or `verilog-simulator. Alternatively use the "Choose Compilation Action" menu. See `verilog-set-compile-command' for more information. (defvar verilog-tool 'verilog-linter (#$ . 8358)) (byte-code "\300\301\302\303\304\305\306\307&\210\310\301\311\312#\210\300\313\314\315\304\316\306\307&\210\310\313\311\317#\210\300\320\321\322\306\307\304\323&\210\310\320\311\324#\210\300\325\321\326\306\307\304\323&\210\310\325\311\324#\210\300\327\321\330\306\307\304\323&\210\310\327\311\324#\210\300\331\302\332\306\307\304\305&\210\310\331\311\312#\210\300\333\334\335\306\307\304\305&\210\310\333\311\312#\210\300\336\321\337\306\307\304\323&\210\310\336\311\324#\210\300\340\341\342\306\307\304\323&\210\310\340\311\324#\210\300\343\344\345\306\307\304\323&\210\310\343\311\324#\210\300\346\344\347\306\307\304\323&\210\310\346\311\324#\210\300\350\334\351\306\307\304\305&\210\310\350\311\312#\210\300\352\334\353\306\307\304\305&\210\310\352\311\312#\210\300\354\334\355\306\307\304\305&\210\310\354\311\312#\210\300\356\302\357\306\360\304\305&\210\310\356\311\312#\210\300\361\334\362\306\307\304\305&\210\310\361\311\312#\210\300\363\302\364\306\307\304\305&\210\310\363\311\312#\210\300\365\366\367\306\307\304\323&\210\310\365\311\324#\210\300\370\302\371\306\307\304\305&\210\310\370\311\312#\210\300\372\302\373\306\307\304\305&\210\310\372\311\312#\210\300\374\302\375\306\307\304\305&\210\310\374\311\312#\210\300\376\334\377\306\307\304\305&\210\310\376\311\312#\210\300\201@ \302\201A \201B \201C \306\360\304\305& \210\310\201@ \311\201D #\210\300\201E \302\201F \201B \201C \306\360\304\305& \210\310\201E \311\201D #\210\300\201G \334\201H \306\360\304\305&\210\310\201G \311\312#\210\300\201I \302\201J \201B \201C \306\360\304\305& \210\310\201I \311\312#\210\300\201K \302\201L \306\360\304\305&\210\310\201K \311\312#\210\300\201M \302\201N \306\360\304\305&\210\310\201M \311\312#\210\300\201O \302\201P \306\360\304\201Q &\210\300\201R \334\201S \306\360\304\305&\210\310\201R \311\312#\210\300\201T \302\201U \306\360\304\305&\210\310\201T \311\312#\207" [custom-declare-variable verilog-highlight-translate-off nil "Non-nil means background-highlight code excluded from translation.\nThat is, all code between \"// synopsys translate_off\" and\n\"// synopsys translate_on\" is highlighted using a different background color\n(face `verilog-font-lock-translate-off-face').\n\nNote: This will slow down on-the-fly fontification (and thus editing).\n\nNote: Activate the new setting in a Verilog buffer by re-fontifying it (menu\nentry \"Fontify Buffer\"). XEmacs: turn off and on font locking." :type boolean :group verilog-mode-indent put safe-local-variable verilog-booleanp verilog-auto-lineup 'declarations "Type of statements to lineup across multiple lines.\nIf 'all' is selected, then all line ups described below are done.\n\nIf 'declaration', then just declarations are lined up with any\npreceding declarations, taking into account widths and the like,\nso or example the code:\n reg [31:0] a;\n reg b;\nwould become\n reg [31:0] a;\n reg b;\n\nIf 'assignment', then assignments are lined up with any preceding\nassignments, so for example the code\n a_long_variable <= b + c;\n d = e + f;\nwould become\n a_long_variable <= b + c;\n d = e + f;\n\nIn order to speed up editing, large blocks of statements are lined up\nonly when a \\[verilog-pretty-expr] is typed; and large blocks of declarations\nare lineup only when \\[verilog-pretty-declarations] is typed." (radio (const :tag "Line up Assignments and Declarations" all) (const :tag "Line up Assignment statements" assignments) (const :tag "Line up Declarations" declarations) (function :tag "Other")) (lambda (x) (memq x '(nil all assignments declarations))) verilog-indent-level 3 "Indentation of Verilog statements with respect to containing block." integer integerp verilog-indent-level-module "Indentation of Module level Verilog statements (eg always, initial).\nSet to 0 to get initial and always statements lined up on the left side of\nyour screen." verilog-indent-level-declaration "Indentation of declarations with respect to containing block.\nSet to 0 to get them list right under containing block." verilog-indent-declaration-macros "How to treat macro expansions in a declaration.\nIf nil, indent as:\n input [31:0] a;\n input `CP;\n output c;\nIf non nil, treat as:\n input [31:0] a;\n input `CP ;\n output c;" verilog-indent-lists t "How to treat indenting items in a list.\nIf t (the default), indent as:\n always @( posedge a or\n reset ) begin\n\nIf nil, treat as:\n always @( posedge a or\n reset ) begin" verilog-indent-level-behavioral "Absolute indentation of first begin in a task or function block.\nSet to 0 to get such code to start at the left side of the screen." verilog-indent-level-directive 1 "Indentation to add to each level of `ifdef declarations.\nSet to 0 to have all directives start at the left side of the screen." verilog-cexp-indent 2 "Indentation of Verilog statements split across lines." verilog-case-indent "Indentation for case statements." verilog-auto-newline "Non-nil means automatically newline after semicolons." verilog-auto-indent-on-newline "Non-nil means automatically indent line after newline." verilog-tab-always-indent "Non-nil means TAB should always re-indent the current line.\nA nil value means TAB will only reindent when at the beginning of the line." verilog-tab-to-comment "Non-nil means TAB moves to the right hand column in preparation for a comment." verilog-mode-actions verilog-indent-begin-after-if "Non-nil means indent begin statements following if, else, while, etc.\nOtherwise, line them up." verilog-align-ifelse "Non-nil means align `else' under matching `if'.\nOtherwise else is lined up with first character on line holding matching if." verilog-minimum-comment-distance 10 "Minimum distance (in lines) between begin and end required before a comment.\nSetting this variable to zero results in every end acquiring a comment; the\ndefault avoids too many redundant comments in tight quarters." verilog-highlight-p1800-keywords "Non-nil means highlight words newly reserved by IEEE-1800.\nThese will appear in `verilog-font-lock-p1800-face' in order to gently\nsuggest changing where these words are used as variables to something else.\nA nil value means highlight these words as appropriate for the SystemVerilog\nIEEE-1800 standard. Note that changing this will require restarting Emacs\nto see the effect as font color choices are cached by Emacs." verilog-highlight-grouping-keywords "Non-nil means highlight grouping keywords 'begin' and 'end' more dramatically.\nIf false, these words are in the `font-lock-type-face'; if True then they are in\n`verilog-font-lock-ams-face'. Some find that special highlighting on these\ngrouping constructs allow the structure of the code to be understood at a glance." verilog-highlight-modules "Non-nil means highlight module statements for `verilog-load-file-at-point'.\nWhen true, mousing over module names will allow jumping to the\nmodule definition. If false, this is not supported. Setting\nthis is experimental, and may lead to bad performance." verilog-highlight-includes "Non-nil means highlight module statements for `verilog-load-file-at-point'.\nWhen true, mousing over include file names will allow jumping to the\nfile referenced. If false, this is not supported." verilog-auto-declare-nettype "Non-nil specifies the data type to use with `verilog-auto-input' etc.\nSet this to \"wire\" if the Verilog code uses \"`default_nettype\nnone\". Note using `default_nettype none isn't recommended practice; this\nmode is experimental." :version "24.1" stringp verilog-auto-wire-type "Non-nil specifies the data type to use with `verilog-auto-wire' etc.\nSet this to \"logic\" for SystemVerilog code, or use `verilog-auto-logic'." verilog-auto-endcomments "Non-nil means insert a comment /* ... */ after 'end's.\nThe name of the function or case will be set between the braces." verilog-auto-delete-trailing-whitespace "Non-nil means to `delete-trailing-whitespace' in `verilog-auto'." verilog-auto-ignore-concat "Non-nil means ignore signals in {...} concatenations for AUTOWIRE etc.\nThis will exclude signals referenced as pin connections in {...}\nfrom AUTOWIRE, AUTOOUTPUT and friends. This flag should be set\nfor backward compatibility only and not set in new designs; it\nmay be removed in future versions." verilog-auto-read-includes "Non-nil means to automatically read includes before AUTOs.\nThis will do a `verilog-read-defines' and `verilog-read-includes' before\neach AUTO expansion. This makes it easier to embed defines and includes,\nbut can result in very slow reading times if there are many or large\ninclude files." verilog-auto-save-policy "Non-nil indicates action to take when saving a Verilog buffer with AUTOs.\nA value of `force' will always do a \\[verilog-auto] automatically if\nneeded on every save. A value of `detect' will do \\[verilog-auto]\nautomatically when it thinks necessary. A value of `ask' will query the\nuser when it thinks updating is needed.\n\nYou should not rely on the 'ask or 'detect policies, they are safeguards\nonly. They do not detect when AUTOINSTs need to be updated because a\nsub-module's port list has changed." (choice (const nil) (const ask) (const detect) (const force)) verilog-auto-star-expand "Non-nil means to expand SystemVerilog .* instance ports.\nThey will be expanded in the same way as if there was an AUTOINST in the\ninstantiation. See also `verilog-auto-star' and `verilog-auto-star-save'." verilog-auto-star-save "Non-nil means save to disk SystemVerilog .* instance expansions.\nA nil value indicates direct connections will be removed before saving.\nOnly meaningful to those created due to `verilog-auto-star-expand' being set.\n\nInstead of setting this, you may want to use /*AUTOINST*/, which will\nalways be saved."] 10) #@55 Modification tick at which autos were last performed. (defvar verilog-auto-update-tick nil (#$ . 18528)) #@56 Text from file-local-variables during last evaluation. (defvar verilog-auto-last-file-locals nil (#$ . 18639)) #@199 Function to run when `verilog-diff-auto' detects differences. Function takes three arguments, the original buffer, the difference buffer, and the point in original buffer with the first difference. (defvar verilog-diff-function 'verilog-diff-report (#$ . 18757)) (require 'compile) (defvar verilog-error-regexp-added nil) #@113 List of regexps for Verilog compilers. See `compilation-error-regexp-alist' for the formatting. For Emacs 22+. (defvar verilog-error-regexp-emacs-alist '((verilog-xl-1 "\\(Error\\|Warning\\)!.*\n?.*\"\\([^\"]+\\)\", \\([0-9]+\\)" 2 3) (verilog-xl-2 "([WE][0-9A-Z]+)[ ]+\\([^ \n,]+\\)[, ]+\\(line[ ]+\\)?\\([0-9]+\\):.*$" 1 3) (verilog-IES ".*\\*[WE],[0-9A-Z]+\\([[0-9A-Z_,]+]\\)? (\\([^ ,]+\\),\\([0-9]+\\)" 2 3) (verilog-surefire-1 "[^\n]*\\[\\([^:]+\\):\\([0-9]+\\)\\]" 1 2) (verilog-surefire-2 "\\(WARNING\\|ERROR\\|INFO\\)[^:]*: \\([^,]+\\),\\s-+\\(line \\)?\\([0-9]+\\):" 2 4) (verilog-verbose "\\([a-zA-Z]?:?[^:( \n]+\\)[:(][ ]*\\([0-9]+\\)\\([) ]\\|:\\([^0-9\n]\\|\\([0-9]+:\\)\\)\\)" 1 2 5) (verilog-xsim "\\(Error\\|Warning\\).*in file (\\([^ ]+\\) at line *\\([0-9]+\\))" 2 3) (verilog-vcs-1 "\\(Error\\|Warning\\):[^(]*(\\([^ ]+\\) line *\\([0-9]+\\))" 2 3) (verilog-vcs-2 "Warning:.*(port.*(\\([^ ]+\\) line \\([0-9]+\\))" 1 2) (verilog-vcs-3 "\\(Error\\|Warning\\):[\n.]*\\([^ ]+\\) *\\([0-9]+\\):" 2 3) (verilog-vcs-4 "syntax error:.*\n\\([^ ]+\\) *\\([0-9]+\\):" 1 2) (verilog-verilator "%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ :]+\\):\\([0-9]+\\):" 3 4) (verilog-leda "^In file \\([^ ]+\\)[ ]+line[ ]+\\([0-9]+\\):\n[^\n]*\n[^\n]*\n\\(Warning\\|Error\\|Failure\\)[^\n]*" 1 2)) (#$ . 19086)) #@116 List of regexps for Verilog compilers. See `compilation-error-regexp-alist-alist' for the formatting. For XEmacs. (defvar verilog-error-regexp-xemacs-alist (byte-code "\301\302\303\"B\207" [verilog-error-regexp-emacs-alist verilog mapcar cdr] 4) (#$ . 20429)) #@134 Keywords to also highlight in Verilog *compilation* buffers. Only used in XEmacs; GNU Emacs uses `verilog-error-regexp-emacs-alist'. (defvar verilog-error-font-lock-keywords '(("\\(Error\\|Warning\\)!.*\n?.*\"\\([^\"]+\\)\", \\([0-9]+\\)" 2 bold t) ("\\(Error\\|Warning\\)!.*\n?.*\"\\([^\"]+\\)\", \\([0-9]+\\)" 2 bold t) ("([WE][0-9A-Z]+)[ ]+\\([^ \n,]+\\)[, ]+\\(line[ ]+\\)?\\([0-9]+\\):.*$" 1 bold t) ("([WE][0-9A-Z]+)[ ]+\\([^ \n,]+\\)[, ]+\\(line[ ]+\\)?\\([0-9]+\\):.*$" 3 bold t) (".*\\*[WE],[0-9A-Z]+\\([[0-9A-Z_,]+]\\)? (\\([^ ,]+\\),\\([0-9]+\\)|" 2 bold t) (".*\\*[WE],[0-9A-Z]+\\([[0-9A-Z_,]+]\\)? (\\([^ ,]+\\),\\([0-9]+\\)|" 3 bold t) ("[^\n]*\\[\\([^:]+\\):\\([0-9]+\\)\\]" 1 bold t) ("[^\n]*\\[\\([^:]+\\):\\([0-9]+\\)\\]" 2 bold t) ("\\(WARNING\\|ERROR\\|INFO\\): \\([^,]+\\), line \\([0-9]+\\):" 2 bold t) ("\\(WARNING\\|ERROR\\|INFO\\): \\([^,]+\\), line \\([0-9]+\\):" 3 bold t) ("\\([a-zA-Z]?:?[^:( \n]+\\)[:(][ ]*\\([0-9]+\\)\\([) ]\\|:\\([^0-9\n]\\|\\([0-9]+:\\)\\)\\)" 1 bold t) ("\\([a-zA-Z]?:?[^:( \n]+\\)[:(][ ]*\\([0-9]+\\)\\([) ]\\|:\\([^0-9\n]\\|\\([0-9]+:\\)\\)\\)" 1 bold t) ("\\(Error\\|Warning\\):[^(]*(\\([^ ]+\\) line *\\([0-9]+\\))" 2 bold t) ("\\(Error\\|Warning\\):[^(]*(\\([^ ]+\\) line *\\([0-9]+\\))" 3 bold t) ("Warning:.*(port.*(\\([^ ]+\\) line \\([0-9]+\\))" 1 bold t) ("Warning:.*(port.*(\\([^ ]+\\) line \\([0-9]+\\))" 1 bold t) ("\\(Error\\|Warning\\):[\n.]*\\([^ ]+\\) *\\([0-9]+\\):" 2 bold t) ("\\(Error\\|Warning\\):[\n.]*\\([^ ]+\\) *\\([0-9]+\\):" 3 bold t) ("syntax error:.*\n\\([^ ]+\\) *\\([0-9]+\\):" 1 bold t) ("syntax error:.*\n\\([^ ]+\\) *\\([0-9]+\\):" 2 bold t) (".*%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ :]+\\):\\([0-9]+\\):" 3 bold t) (".*%?\\(Error\\|Warning\\)\\(-[^:]+\\|\\):[\n ]*\\([^ :]+\\):\\([0-9]+\\):" 4 bold t) ("^In file \\([^ ]+\\)[ ]+line[ ]+\\([0-9]+\\):\n[^\n]*\n[^\n]*\n\\(Warning\\|Error\\|Failure\\)[^\n]*" 1 bold t) ("^In file \\([^ ]+\\)[ ]+line[ ]+\\([0-9]+\\):\n[^\n]*\n[^\n]*\n\\(Warning\\|Error\\|Failure\\)[^\n]*" 2 bold t)) (#$ . 20698)) (byte-code "\300\301\302\303\304\305\306\307&\210\310\301\311\312#\210\300\313\314\315\304\305\306\316&\210\310\313\311\312#\210\300\317\320\321\304\305\306\322&\210\310\317\311\312#\210\300\323\324\325\306\326\304\305&\210\310\323\311\312#\210\300\327\330\331\304\305\306\332&\210\310\327\311\333#\210\300\334\330\335\304\305\306\336&\210\310\334\311\337#\210\300\340\330\341\304\305\306\336&\210\310\340\311\337#\210\300\342\343\344\345\346\306\336\304\305& \210\310\342\311\337#\210\300\347\343\350\306\336\304\305&\210\310\347\311\351#\210\300\352\353\354\304\305\306\332&\210\310\352\311\333#\210\300\355\330\356\304\305\306\336&\210\310\355\311\337#\210\300\357\330\360\304\305\306\336&\210\310\357\311\337#\210\300\361\330\362\304\305\306\336&\210\310\361\311\337#\210\300\363\330\364\345\346\304\305\306\336& \210\310\363\311\337#\210\300\365\343\366\304\305\306\336&\210\310\365\311\337#\210\300\367\330\370\304\305\306\371&\210\310\367\311\372#\210\300\373\374\375\304\376\306\377&\210\310\373\311\201@ #\210\300\201A \330\201B \304\305\306\336\345\201C & \210\310\201A \311\337#\210\300\201D \330\201E \304\305\306\332&\210\310\201D \311\333#\210\300\201F \330\201G \304\305\306\332&\210\310\201F \311\333#\210\300\201H \330\201I \304\305\306\332&\210\310\201H \311\333#\210\300\201J \330\201K \304\305\345\201C \306\336& \210\310\201J \311\337#\210\300\201L \201M \201N \345\346\304\305\306\332& \210\310\201L \311\333#\210\300\201O \330\201P \304\305\306\332&\210\310\201O \311\333#\210\300\201Q \330\201R \304\305\306\332&\210\310\201Q \311\333#\210\300\201S \330\201T \304\305\306\332&\210\310\201S \311\333#\210\300\201U \201V \201W \306\201X \304\201Y &\210\300\201Z \330\201[ \304\305\306\201X &\210\300\201\\ \330\201] \304\305\306\201X &\210\300\201^ \330\201_ \304\305\306\201X &\210\300\201` \330\201a \304\305\306\201X &\210\300\201b \330\201c \304\305\306\201X &\210\300\201d \330\201e \304\305\306\201X &\210\300\201f \330\201g \304\305\345\201C \306\201X & \210\300\201h \330\201i \304\305\345\201C \306\201X & \207" [custom-declare-variable verilog-library-flags '(#1="") "List of standard Verilog arguments to use for /*AUTOINST*/.\nThese arguments are used to find files for `verilog-auto', and match\nthe flags accepted by a standard Verilog-XL simulator.\n\n -f filename Reads more `verilog-library-flags' from the filename.\n +incdir+dir Adds the directory to `verilog-library-directories'.\n -Idir Adds the directory to `verilog-library-directories'.\n -y dir Adds the directory to `verilog-library-directories'.\n +libext+.v Adds the extensions to `verilog-library-extensions'.\n -v filename Adds the filename to `verilog-library-files'.\n\n filename Adds the filename to `verilog-library-files'.\n This is not recommended, -v is a better choice.\n\nYou might want these defined in each file; put at the *END* of your file\nsomething like:\n\n // Local Variables:\n // verilog-library-flags:(\"-y dir -y otherdir\")\n // End:\n\nVerilog-mode attempts to detect changes to this local variable, but they\nare only insured to be correct when the file is first visited. Thus if you\nhave problems, use \\[find-alternate-file] RET to have these take effect.\n\nSee also the variables mentioned above." :group verilog-mode-auto :type (repeat string) put safe-local-variable listp verilog-library-directories '(".") "List of directories when looking for files for /*AUTOINST*/.\nThe directory may be relative to the current file, or absolute.\nEnvironment variables are also expanded in the directory names.\nHaving at least the current directory is a good idea.\n\nYou might want these defined in each file; put at the *END* of your file\nsomething like:\n\n // Local Variables:\n // verilog-library-directories:(\".\" \"subdir\" \"subdir2\")\n // End:\n\nVerilog-mode attempts to detect changes to this local variable, but they\nare only insured to be correct when the file is first visited. Thus if you\nhave problems, use \\[find-alternate-file] RET to have these take effect.\n\nSee also `verilog-library-flags', `verilog-library-files'\nand `verilog-library-extensions'." (repeat file) verilog-library-files 'nil "List of files to search for modules.\nAUTOINST will use this when it needs to resolve a module name.\nThis is a complete path, usually to a technology file with many standard\ncells defined in it.\n\nYou might want these defined in each file; put at the *END* of your file\nsomething like:\n\n // Local Variables:\n // verilog-library-files:(\"/some/path/technology.v\" \"/some/path/tech2.v\")\n // End:\n\nVerilog-mode attempts to detect changes to this local variable, but they\nare only insured to be correct when the file is first visited. Thus if you\nhave problems, use \\[find-alternate-file] RET to have these take effect.\n\nSee also `verilog-library-flags', `verilog-library-directories'." (repeat directory) verilog-library-extensions '(".v" ".sv") "List of extensions to use when looking for files for /*AUTOINST*/.\nSee also `verilog-library-flags', `verilog-library-directories'." (repeat string) verilog-active-low-regexp nil "If set, treat signals matching this regexp as active low.\nThis is used for AUTORESET and AUTOTIEOFF. For proper behavior,\nyou will probably also need `verilog-auto-reset-widths' set." string stringp verilog-auto-sense-include-inputs "Non-nil means AUTOSENSE should include all inputs.\nIf nil, only inputs that are NOT output signals in the same block are\nincluded." boolean verilog-booleanp verilog-auto-sense-defines-constant "Non-nil means AUTOSENSE should assume all defines represent constants.\nWhen true, the defines will not be included in sensitivity lists. To\nmaintain compatibility with other sites, this should be set at the bottom\nof each Verilog file that requires it, rather than being set globally." verilog-auto-reset-blocking-in-non t "Non-nil means AUTORESET will reset blocking statements.\nWhen true, AUTORESET will reset in blocking statements those\nsignals which were assigned with blocking assignments (=) even in\na block with non-blocking assignments (<=).\n\nIf nil, all blocking assigned signals are ignored when any\nnon-blocking assignment is in the AUTORESET block. This allows\nblocking assignments to be used for temporary values and not have\nthose temporaries reset. See example in `verilog-auto-reset'." :version "24.1" verilog-auto-reset-widths "True means AUTORESET should determine the width of signals.\nThis is then used to set the width of the zero (32'h0 for example). This\nis required by some lint tools that aren't smart enough to ignore widths of\nthe constant zero. This may result in ugly code when parameters determine\nthe MSB or LSB of a signal inside an AUTORESET.\n\nIf nil, AUTORESET uses \"0\" as the constant.\n\nIf 'unbased', AUTORESET used the unbased unsized literal \"'0\"\nas the constant. This setting is strongly recommended for\nSystemVerilog designs." (lambda (x) (memq x '(nil t unbased))) verilog-assignment-delay #1# "Text used for delays in delayed assignments. Add a trailing space if set." verilog-auto-arg-sort "Non-nil means AUTOARG signal names will be sorted, not in declaration order.\nDeclaration order is advantageous with order based instantiations\nand is the default for backward compatibility. Sorted order\nreduces changes when declarations are moved around in a file, and\nit's bad practice to rely on order based instantiations anyhow.\n\nSee also `verilog-auto-inst-sort'." verilog-auto-inst-dot-name "Non-nil means when creating ports with AUTOINST, use .name syntax.\nThis will use \".port\" instead of \".port(port)\" when possible.\nThis is only legal in SystemVerilog files, and will confuse older\nsimulators. Setting `verilog-auto-inst-vector' to nil may also\nbe desirable to increase how often .name will be used." verilog-auto-inst-param-value "Non-nil means AUTOINST will replace parameters with the parameter value.\nIf nil, leave parameters as symbolic names.\n\nParameters must be in Verilog 2001 format #(...), and if a parameter is not\nlisted as such there (as when the default value is acceptable), it will not\nbe replaced, and will remain symbolic.\n\nFor example, imagine a submodule uses parameters to declare the size of its\ninputs. This is then used by an upper module:\n\n module InstModule (o,i);\n parameter WIDTH;\n input [WIDTH-1:0] i;\n endmodule\n\n module ExampInst;\n InstModule\n #(PARAM(10))\n instName\n (/*AUTOINST*/\n .i (i[PARAM-1:0]));\n\nNote even though PARAM=10, the AUTOINST has left the parameter as a\nsymbolic name. If `verilog-auto-inst-param-value' is set, this will\ninstead expand to:\n\n module ExampInst;\n InstModule\n #(PARAM(10))\n instName\n (/*AUTOINST*/\n .i (i[9:0]));" verilog-auto-inst-sort "Non-nil means AUTOINST signals will be sorted, not in declaration order.\nAlso affects AUTOINSTPARAM. Declaration order is the default for\nbackward compatibility, and as some teams prefer signals that are\ndeclared together to remain together. Sorted order reduces\nchanges when declarations are moved around in a file.\n\nSee also `verilog-auto-arg-sort'." verilog-auto-inst-vector "Non-nil means when creating default ports with AUTOINST, use bus subscripts.\nIf nil, skip the subscript when it matches the entire bus as declared in\nthe module (AUTOWIRE signals always are subscripted, you must manually\ndeclare the wire to have the subscripts removed.) Setting this to nil may\nspeed up some simulators, but is less general and harder to read, so avoid." verilog-auto-inst-template-numbers "If true, when creating templated ports with AUTOINST, add a comment.\n\nIf t, the comment will add the line number of the template that\nwas used for that port declaration. This setting is suggested\nonly for debugging use, as regular use may cause a large numbers\nof merge conflicts.\n\nIf 'lhs', the comment will show the left hand side of the\nAUTO_TEMPLATE rule that is matched. This is less precise than\nnumbering (t) when multiple rules have the same pin name, but\nwon't merge conflict." (choice (const nil) (const t) (const lhs)) (lambda (x) (memq x '(nil t lhs))) verilog-auto-inst-column 40 "Indent-to column number for net name part of AUTOINST created pin." verilog-mode-indent integer integerp verilog-auto-inst-interfaced-ports "Non-nil means include interfaced ports in AUTOINST expansions." "24.3" verilog-auto-input-ignore-regexp "If set, when creating AUTOINPUT list, ignore signals matching this regexp.\nSee the \\[verilog-faq] for examples on using this." verilog-auto-inout-ignore-regexp "If set, when creating AUTOINOUT list, ignore signals matching this regexp.\nSee the \\[verilog-faq] for examples on using this." verilog-auto-output-ignore-regexp "If set, when creating AUTOOUTPUT list, ignore signals matching this regexp.\nSee the \\[verilog-faq] for examples on using this." verilog-auto-template-warn-unused "Non-nil means report warning if an AUTO_TEMPLATE line is not used.\nThis feature is not supported before Emacs 21.1 or XEmacs 21.4." verilog-auto-tieoff-declaration "wire" "Data type used for the declaration for AUTOTIEOFF.\nIf \"wire\" then create a wire, if \"assign\" create an\nassignment, else the data type for variable creation." verilog-auto-tieoff-ignore-regexp "If set, when creating AUTOTIEOFF list, ignore signals matching this regexp.\nSee the \\[verilog-faq] for examples on using this." verilog-auto-unused-ignore-regexp "If set, when creating AUTOUNUSED list, ignore signals matching this regexp.\nSee the \\[verilog-faq] for examples on using this." verilog-typedef-regexp "If non-nil, regular expression that matches Verilog-2001 typedef names.\nFor example, \"_t$\" matches typedefs named with _t, as in the C language." verilog-mode-hook 'verilog-set-compile-command "Hook run after Verilog mode is loaded." hook verilog-mode verilog-auto-hook "Hook run after `verilog-mode' updates AUTOs." verilog-before-auto-hook "Hook run before `verilog-mode' updates AUTOs." verilog-delete-auto-hook "Hook run after `verilog-mode' deletes AUTOs." verilog-before-delete-auto-hook "Hook run before `verilog-mode' deletes AUTOs." verilog-getopt-flags-hook "Hook run after `verilog-getopt-flags' determines the Verilog option lists." verilog-before-getopt-flags-hook "Hook run before `verilog-getopt-flags' determines the Verilog option lists." verilog-before-save-font-hook "Hook run before `verilog-save-font-mods' removes highlighting." verilog-after-save-font-hook "Hook run after `verilog-save-font-mods' restores highlighting."] 10) #@69 Imenu expression for Verilog mode. See `imenu-generic-expression'. (defvar verilog-imenu-generic-expression '((nil "^\\s-*\\(\\(m\\(odule\\|acromodule\\)\\)\\|primitive\\)\\s-+\\([a-zA-Z0-9_.:]+\\)" 4) ("*Vars*" "^\\s-*\\(reg\\|wire\\)\\s-+\\(\\|\\[[^]]+\\]\\s-+\\)\\([A-Za-z0-9_]+\\)" 3)) (#$ . 35513)) #@188 If non-nil, dates are written in scientific format (e.g. 1997/09/17). If nil, in European format (e.g. 17.09.1997). The brain-dead American format (e.g. 09/17/1997) is not supported. (defvar verilog-date-scientific-format nil (#$ . 35825)) #@78 Default name of Company for Verilog header. If set will become buffer local. (defvar verilog-company nil (#$ . 36075)) (make-variable-buffer-local 'verilog-company) #@78 Default name of Project for Verilog header. If set will become buffer local. (defvar verilog-project nil (#$ . 36246)) (make-variable-buffer-local 'verilog-project) #@30 Keymap used in Verilog mode. (defvar verilog-mode-map (byte-code "\301 \302\303\304#\210\302\305\306#\210\302\307\310#\210\302\311\312#\210\302\313\314#\210\302\315\316#\210\302\317\320#\210\321\322!\204? \302\323\324#\210\302\325\326#\210\302\327\330#\210\302\331\332#\210\302\333\334#\210\302\335\336#\210\302\337\340#\210\302\341\342#\210\302\343\344#\210\302\345\346#\210\302\347\350#\210\302\351\352#\210\302\353\354#\210\302\355\356#\210\302\357\360#\210\302\361\362#\210\302\363\364#\210\302\365\366#\210\302\367\370#\210\302\371\372#\210\302\373\374#\210\302\375\376#\210\302\377\201@ #\210\302\201A \201B #\210\302\201C \201D #\210)\207" [map make-sparse-keymap define-key ";" electric-verilog-semi [(control 59)] electric-verilog-semi-with-comment ":" electric-verilog-colon "`" electric-verilog-tick " " electric-verilog-tab " " electric-verilog-terminate-line [backspace] backward-delete-char-untabify boundp delete-key-deletes-forward [delete] delete-char [(meta delete)] kill-word "\202" electric-verilog-backward-sexp "\206" electric-verilog-forward-sexp "\215" electric-verilog-terminate-and-indent "\211" verilog-complete-word "\277" verilog-show-completions "`" verilog-lint-off "*" verilog-delete-auto-star-implicit "?" verilog-diff-auto "" verilog-label-be " " verilog-pretty-declarations "=" verilog-pretty-expr "" verilog-submit-bug-report "\252" verilog-star-comment "" verilog-comment-region "" verilog-uncomment-region "" verilog-goto-defun "" verilog-delete-auto "" verilog-auto "" verilog-auto-save-compile "" verilog-preprocess "" verilog-inject-auto "" verilog-expand-vector "" verilog-header] 4) (#$ . 36417)) #@23 Menu for Verilog mode (defvar verilog-menu nil (#$ . 38126)) (byte-code "\301\302\303\304\305!$\207" [verilog-mode-map easy-menu-do-define verilog-menu "Menu for Verilog mode" verilog-easy-menu-filter ("Verilog" ("Choose Compilation Action" ["None" (progn (setq verilog-tool nil) (verilog-set-compile-command)) :style radio :selected (equal verilog-tool nil) :help "When invoking compilation, use compile-command"] ["Lint" (progn (setq verilog-tool 'verilog-linter) (verilog-set-compile-command)) :style radio :selected (equal verilog-tool `verilog-linter) :help "When invoking compilation, use lint checker"] ["Coverage" (progn (setq verilog-tool 'verilog-coverage) (verilog-set-compile-command)) :style radio :selected (equal verilog-tool `verilog-coverage) :help "When invoking compilation, annotate for coverage"] ["Simulator" (progn (setq verilog-tool 'verilog-simulator) (verilog-set-compile-command)) :style radio :selected (equal verilog-tool `verilog-simulator) :help "When invoking compilation, interpret Verilog source"] ["Compiler" (progn (setq verilog-tool 'verilog-compiler) (verilog-set-compile-command)) :style radio :selected (equal verilog-tool `verilog-compiler) :help "When invoking compilation, compile Verilog source"] ["Preprocessor" (progn (setq verilog-tool 'verilog-preprocessor) (verilog-set-compile-command)) :style radio :selected (equal verilog-tool `verilog-preprocessor) :help "When invoking compilation, preprocess Verilog source, see also `verilog-preprocess'"]) ("Move" ["Beginning of function" verilog-beg-of-defun :keys "C-M-a" :help "Move backward to the beginning of the current function or procedure"] ["End of function" verilog-end-of-defun :keys "C-M-e" :help "Move forward to the end of the current function or procedure"] ["Mark function" verilog-mark-defun :keys "C-M-h" :help "Mark the current Verilog function or procedure"] ["Goto function/module" verilog-goto-defun :help "Move to specified Verilog module/task/function"] ["Move to beginning of block" electric-verilog-backward-sexp :help "Move backward over one balanced expression"] ["Move to end of block" electric-verilog-forward-sexp :help "Move forward over one balanced expression"]) ("Comments" ["Comment Region" verilog-comment-region :help "Put marked area into a comment"] ["UnComment Region" verilog-uncomment-region :help "Uncomment an area commented with Comment Region"] ["Multi-line comment insert" verilog-star-comment :help "Insert Verilog /* */ comment at point"] ["Lint error to comment" verilog-lint-off :help "Convert a Verilog linter warning line into a disable statement"]) "----" ["Compile" compile :help "Perform compilation-action (above) on the current buffer"] ["AUTO, Save, Compile" verilog-auto-save-compile :help "Recompute AUTOs, save buffer, and compile"] ["Next Compile Error" next-error :help "Visit next compilation error message and corresponding source code"] ["Ignore Lint Warning at point" verilog-lint-off :help "Convert a Verilog linter warning line into a disable statement"] "----" ["Line up declarations around point" verilog-pretty-declarations :help "Line up declarations around point"] ["Line up equations around point" verilog-pretty-expr :help "Line up expressions around point"] ["Redo/insert comments on every end" verilog-label-be :help "Label matching begin ... end statements"] ["Expand [x:y] vector line" verilog-expand-vector :help "Take a signal vector on the current line and expand it to multiple lines"] ["Insert begin-end block" verilog-insert-block :help "Insert begin ... end"] ["Complete word" verilog-complete-word :help "Complete word at point"] "----" ["Recompute AUTOs" verilog-auto :help "Expand AUTO meta-comment statements"] ["Kill AUTOs" verilog-delete-auto :help "Remove AUTO expansions"] ["Diff AUTOs" verilog-diff-auto :help "Show differences in AUTO expansions"] ["Inject AUTOs" verilog-inject-auto :help "Inject AUTOs into legacy non-AUTO buffer"] ("AUTO Help..." ["AUTO General" (describe-function 'verilog-auto) :help "Help introduction on AUTOs"] ["AUTO Library Flags" (describe-variable 'verilog-library-flags) :help "Help on verilog-library-flags"] ["AUTO Library Path" (describe-variable 'verilog-library-directories) :help "Help on verilog-library-directories"] ["AUTO Library Files" (describe-variable 'verilog-library-files) :help "Help on verilog-library-files"] ["AUTO Library Extensions" (describe-variable 'verilog-library-extensions) :help "Help on verilog-library-extensions"] ["AUTO `define Reading" (describe-function 'verilog-read-defines) :help "Help on reading `defines"] ["AUTO `include Reading" (describe-function 'verilog-read-includes) :help "Help on parsing `includes"] ["AUTOARG" (describe-function 'verilog-auto-arg) :help "Help on AUTOARG - declaring module port list"] ["AUTOASCIIENUM" (describe-function 'verilog-auto-ascii-enum) :help "Help on AUTOASCIIENUM - creating ASCII for enumerations"] ["AUTOASSIGNMODPORT" (describe-function 'verilog-auto-assign-modport) :help "Help on AUTOASSIGNMODPORT - creating assignments to/from modports"] ["AUTOINOUTCOMP" (describe-function 'verilog-auto-inout-comp) :help "Help on AUTOINOUTCOMP - copying complemented i/o from another file"] ["AUTOINOUTIN" (describe-function 'verilog-auto-inout-in) :help "Help on AUTOINOUTIN - copying i/o from another file as all inputs"] ["AUTOINOUTMODPORT" (describe-function 'verilog-auto-inout-modport) :help "Help on AUTOINOUTMODPORT - copying i/o from an interface modport"] ["AUTOINOUTMODULE" (describe-function 'verilog-auto-inout-module) :help "Help on AUTOINOUTMODULE - copying i/o from another file"] ["AUTOINOUTPARAM" (describe-function 'verilog-auto-inout-param) :help "Help on AUTOINOUTPARAM - copying parameters from another file"] ["AUTOINSERTLISP" (describe-function 'verilog-auto-insert-lisp) :help "Help on AUTOINSERTLISP - insert text from a lisp function"] ["AUTOINOUT" (describe-function 'verilog-auto-inout) :help "Help on AUTOINOUT - adding inouts from cells"] ["AUTOINPUT" (describe-function 'verilog-auto-input) :help "Help on AUTOINPUT - adding inputs from cells"] ["AUTOINST" (describe-function 'verilog-auto-inst) :help "Help on AUTOINST - adding pins for cells"] ["AUTOINST (.*)" (describe-function 'verilog-auto-star) :help "Help on expanding Verilog-2001 .* pins"] ["AUTOINSTPARAM" (describe-function 'verilog-auto-inst-param) :help "Help on AUTOINSTPARAM - adding parameter pins to cells"] ["AUTOLOGIC" (describe-function 'verilog-auto-logic) :help "Help on AUTOLOGIC - declaring logic signals"] ["AUTOOUTPUT" (describe-function 'verilog-auto-output) :help "Help on AUTOOUTPUT - adding outputs from cells"] ["AUTOOUTPUTEVERY" (describe-function 'verilog-auto-output-every) :help "Help on AUTOOUTPUTEVERY - adding outputs of all signals"] ["AUTOREG" (describe-function 'verilog-auto-reg) :help "Help on AUTOREG - declaring registers for non-wires"] ["AUTOREGINPUT" (describe-function 'verilog-auto-reg-input) :help "Help on AUTOREGINPUT - declaring inputs for non-wires"] ["AUTORESET" (describe-function 'verilog-auto-reset) :help "Help on AUTORESET - resetting always blocks"] ["AUTOSENSE" (describe-function 'verilog-auto-sense) :help "Help on AUTOSENSE - sensitivity lists for always blocks"] ["AUTOTIEOFF" (describe-function 'verilog-auto-tieoff) :help "Help on AUTOTIEOFF - tying off unused outputs"] ["AUTOUNDEF" (describe-function 'verilog-auto-undef) :help "Help on AUTOUNDEF - undefine all local defines"] ["AUTOUNUSED" (describe-function 'verilog-auto-unused) :help "Help on AUTOUNUSED - terminating unused inputs"] ["AUTOWIRE" (describe-function 'verilog-auto-wire) :help "Help on AUTOWIRE - declaring wires for cells"]) "----" ["Submit bug report" verilog-submit-bug-report :help "Submit via mail a bug report on verilog-mode.el"] ["Version and FAQ" verilog-faq :help "Show the current version, and where to get the FAQ etc"] ["Customize Verilog Mode..." verilog-customize :help "Customize variables and other settings used by Verilog-Mode"] ["Customize Verilog Fonts & Colors" verilog-font-customize :help "Customize fonts used by Verilog-Mode."])] 6) #@42 Menu for statement templates in Verilog. (defvar verilog-stmt-menu nil (#$ . 46210)) (byte-code "\301\302\303\304\305!$\207" [verilog-mode-map easy-menu-do-define verilog-stmt-menu "Menu for statement templates in Verilog." verilog-easy-menu-filter ("Statements" ["Header" verilog-sk-header :help "Insert a header block at the top of file"] ["Comment" verilog-sk-comment :help "Insert a comment block"] "----" ["Module" verilog-sk-module :help "Insert a module .. (/*AUTOARG*/);.. endmodule block"] ["OVM Class" verilog-sk-ovm-class :help "Insert an OVM class block"] ["UVM Class" verilog-sk-uvm-class :help "Insert an UVM class block"] ["Primitive" verilog-sk-primitive :help "Insert a primitive .. (.. );.. endprimitive block"] "----" ["Input" verilog-sk-input :help "Insert an input declaration"] ["Output" verilog-sk-output :help "Insert an output declaration"] ["Inout" verilog-sk-inout :help "Insert an inout declaration"] ["Wire" verilog-sk-wire :help "Insert a wire declaration"] ["Reg" verilog-sk-reg :help "Insert a register declaration"] ["Define thing under point as a register" verilog-sk-define-signal :help "Define signal under point as a register at the top of the module"] "----" ["Initial" verilog-sk-initial :help "Insert an initial begin .. end block"] ["Always" verilog-sk-always :help "Insert an always @(AS) begin .. end block"] ["Function" verilog-sk-function :help "Insert a function .. begin .. end endfunction block"] ["Task" verilog-sk-task :help "Insert a task .. begin .. end endtask block"] ["Specify" verilog-sk-specify :help "Insert a specify .. endspecify block"] ["Generate" verilog-sk-generate :help "Insert a generate .. endgenerate block"] "----" ["Begin" verilog-sk-begin :help "Insert a begin .. end block"] ["If" verilog-sk-if :help "Insert an if (..) begin .. end block"] ["(if) else" verilog-sk-else-if :help "Insert an else if (..) begin .. end block"] ["For" verilog-sk-for :help "Insert a for (...) begin .. end block"] ["While" verilog-sk-while :help "Insert a while (...) begin .. end block"] ["Fork" verilog-sk-fork :help "Insert a fork begin .. end .. join block"] ["Repeat" verilog-sk-repeat :help "Insert a repeat (..) begin .. end block"] ["Case" verilog-sk-case :help "Insert a case block, prompting for details"] ["Casex" verilog-sk-casex :help "Insert a casex (...) item: begin.. end endcase block"] ["Casez" verilog-sk-casez :help "Insert a casez (...) item: begin.. end endcase block"])] 6) #@46 Abbrev table in use in Verilog-mode buffers. (defvar verilog-mode-abbrev-table nil (#$ . 48666)) (byte-code "\301\300\302\"\210\303\304\305\306$\210\303\307\305\310$\210\303\311\302\312$\210\303\313\305\314$\210\303\315\305\316$\210\303\317\305\320$\210\303\321\305\322$\210\303\323\305\324$\210\303\325\305\326$\210\303\327\305\330$\210\303\331\305\332$\210\303\333\305\334$\210\303\335\305\336$\210\303\337\305\340$\210\303\341\305\342$\210\303\343\305\344$\210\303\345\305\346$\210\303\347\305\350$\210\303\351\305\352$\210\303\353\305\354$\210\303\355\305\356$\210\303\357\305\360$\210\303\361\305\362$\210\303\363\305\364$\210\303\365\305\366$\207" [verilog-mode-abbrev-table define-abbrev-table nil verilog-define-abbrev "class" "" verilog-sk-ovm-class "always" verilog-sk-always "begin" verilog-sk-begin "case" verilog-sk-case "for" verilog-sk-for "generate" verilog-sk-generate "initial" verilog-sk-initial "fork" verilog-sk-fork "module" verilog-sk-module "primitive" verilog-sk-primitive "repeat" verilog-sk-repeat "specify" verilog-sk-specify "task" verilog-sk-task "while" verilog-sk-while "casex" verilog-sk-casex "casez" verilog-sk-casez "if" verilog-sk-if "else if" verilog-sk-else-if "assign" verilog-sk-assign "function" verilog-sk-function "input" verilog-sk-input "output" verilog-sk-output "inout" verilog-sk-inout "wire" verilog-sk-wire "reg" verilog-sk-reg] 5) (defalias 'verilog-within-string #[nil "\300\301\302 `\"8\207" [3 parse-partial-sexp line-beginning-position] 4]) (put 'verilog-within-string 'byte-optimizer 'byte-compile-inline-expand) #@264 Replace occurrences of FROM-STRING with TO-STRING. FIXEDCASE and LITERAL as in `replace-match`. STRING is what to replace. The case (verilog-string-replace-matches "o" "oo" nil nil "foobar") will break, as the o's continuously replace. xa -> x works ok though. (defalias 'verilog-string-replace-matches #[(from-string to-string fixedcase literal string) "\306\307 \n#\203 \310\f \n$\211G\306\224G\\^\202 \n)\207" [start from-string string to-string fixedcase literal 0 string-match replace-match] 6 (#$ . 50268)]) (put 'verilog-string-replace-matches 'byte-optimizer 'byte-compile-inline-expand) #@35 Remove spaces surrounding STRING. (defalias 'verilog-string-remove-spaces #[(string) "\306 \307\216\310\311\312\211 \313\314 #\203/ \315\f\n $\211G\313\224\fG\\^\202 .\316\311\312\211 \313\314 #\203] \315\f\n $\211G\313\224\fG\\^\202@ .\211*\207" [save-match-data-internal string literal fixedcase to-string from-string match-data ((byte-code "\301\302\"\207" [save-match-data-internal set-match-data evaporate] 3)) "^\\s-+" "" nil 0 string-match replace-match "\\s-+$" start] 6 (#$ . 50880)]) (put 'verilog-string-remove-spaces 'byte-optimizer 'byte-compile-inline-expand) #@72 Like `re-search-forward', but skips over match in comments or strings. (defalias 'verilog-re-search-forward #[(REGEXP BOUND NOERROR) "\304\305 \n#\203&